Jump to content

Problems with aplication in VISILOGIC


Recommended Posts

Hello.

 
I am working with a PLC Samba SM70-J-RA22, Remote I/O Adapter EX-RC1 and  I/O Module IO-D16A3-TO16.
 
I have a problem. I am using the HSO and the HSC of IO-D16A3-TO16 for stepper control. The HSO is connected to the HSC, so I read the number of pulses.
I have different target of pulses, but I can´t get the target that I need. For example, If I need a target of 15 pulses, I get 18, 30 or 100. The target is near when I use a low velocity (15, 17 or 18), but in my application I use a high velocity. Therefore the motor stepper moves different pulses's number.
 
In the  HSO of the I/O Module IO-D16A3-TO16,only I have two parameter: output frequency and duty cycle.
 
Also, I work with virtual HSC.
 
I attach some images of the program.
For information:
MI21: Frequency HSO.
MI7 :Set Target.
MI11: Virtual HSC.
MI12: Pulses´s number each cycle.
 
Please I need your help.
 
 
Regards.
 
 

1.png

2.png

3.png

4.png

Link to comment
Share on other sites

Hi;

 

One observation:

   Many different logical statements inside  each net.  PLC Compiler has to " chew through " a lot to get 1 final result  of 2 or 3  different operations.

      ( look at the STL Statements   for these nets) 

      That one with 3 references to MB56  will cause problems   -( )-  and  2 x   -(P)-     Break it up.   The Leading edge pulses can get missed  in the current setup.

  Separate into  individual nets.  - The STL's are simpler to work on and  the result is simple - The plc  will process them easier.

 

  I have seen cases when having more than 1 logical  sequence in a net gives an un-expected wrong result. 

Unitronics recommends 1 logical statement per net.

 

  

DanT

 

Link to comment
Share on other sites

Join the conversation

You can post now and register later. If you have an account, sign in now to post with your account.
Note: Your post will require moderator approval before it will be visible.

Guest
Reply to this topic...

×   Pasted as rich text.   Paste as plain text instead

  Only 75 emoji are allowed.

×   Your link has been automatically embedded.   Display as a link instead

×   Your previous content has been restored.   Clear editor

×   You cannot paste images directly. Upload or insert images from URL.

×
×
  • Create New...